谁给个5人表决器C语言程序

#include<reg51.h>
sbit P10=P1^0;//表决人1
sbit P11=P1^1;//表决人2
sbit P12=P1^2;//表决人3
sbit P13=P1^3;//表决人4
sbit P14=P1^4;//表决人5
sbit P15=P1^5;//结果显示

int a=0;
int b=0;
int i=0;

void main()
{

while(1)
{
if(P10==0)
a++;
else
b++;
if(P11==0)
a++;
else
b++;
if(P12==0)
a++;
else
b++;
if(P13==0)
a++;
else
b++;
if(P14==0)
a++;
else
b++;
if(a>b)
P15=0;
else
P15=1;
a=b=0;
for(i=0;i<255;i++)
{}
}

}

51单片机表决器C语言编程~

要求:1、应用外部中断设置开始和停止按键,可以控制系统的工作状态。
2、在开始表决的过程里,能够准确记录下每个表决开关是否被按下过(记忆功能)。
3、设置两个指示灯分别代表“通过”和“否

4人表决器表决器好设计,用5个三输入的与非门就行了,五人表决器就要麻烦了,真值表好列,用逻辑代数或卡诺图求出简化的逻辑表达式并演化成与非的形式就不好化了,这个问题你还是想老师寻求一点帮助吧


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity bj is
port(a:in std_logic_vector(4 downto 0);
y:out std_logic);
end bj;
architecture aa of bj is
signal x:std_logic; begin
x<=a(0)+a(1)+a(2)+a(3)+a(4);
process(a) begin
if x>2 then y<=’1’;
else y<=’0’;
end if;
end process;
end aa;

#include
sbit P10=P1^0;//表决人1
sbit P11=P1^1;//表决人2
sbit P12=P1^2;//表决人3
sbit P13=P1^3;//表决人4
sbit P14=P1^4;//表决人5
sbit P15=P1^5;//结果显示

int a=0;
int b=0;
int i=0;

void main()
{

while(1)
{
if(P10==0)
a++;
else
b++;
if(P11==0)
a++;
else
b++;
if(P12==0)
a++;
else
b++;
if(P13==0)
a++;
else
b++;
if(P14==0)
a++;
else
b++;
if(a>b)
P15=0;
else
P15=1;
a=b=0;
for(i=0;i<255;i++)
{}
}

}

#19696092355# 急求一道c语言程序设计题!多谢多谢!拜托了!设有5个学生的考试成 ******
#符甘# #include #define MAXLENGTH 20 #define MAXNUMBER 5 struct { int no; char name... for (i = 0; i %d student's information(no name math computer):", i + 1); scanf ("%d%...

#19696092355# 哪位高人知道三人表决器的程序怎么写,用汇编语言,谢了,有急用 - ******
#符甘# 这个简单,我来好了: ;;定义K1,2,3,4分别对应了P0.0,P0.1,P0.2,P1.0,PASS灯就定义在P3.0口吧,LED定义为高电平有效,key低电平有效,指示灯为P2.0 P2.1 P2.2;; OGR 0000H AJMP MAIN ;;主程序;; ORG 0010H MAIN:MOV P0,#0...

#19696092355# (用c语言编写程序)有A、B、C、D、E 5人,每人额头上都贴了一张黑或白的纸. - ******
#符甘# #include int main(int argc, char *argv[])//可以写成int main(),没问题,个人习惯 { int a,b,c,d,e; for(a=0;a for(b=0;b for(c=0;c for(d=0;d for(e=0;e if((a&&b+c+d+e==3||!a&&b+c+d+e!=3) &&(b&&a+c+d+e==0||!b&&a+c+d+e!=0) &&(c&&a+b+d+e==1|...

#19696092355# EDA编程9表决器 - ******
#符甘# //本程序用VERILOG HDL语言实现,描述9人表决器module biaojueqi(vote,ledr,ledg,dis_out);input [8:0] vote;output ledr,ledg;output [6:0] dis_out;reg [6:0] dis_out;integer i,sum; /...

#19696092355# c语言编程57 - ******
#符甘# #include int main(){ int a,b,c,d,e; a=10; b=a+2; c=b+2; d=c+2; e=d+2; printf("5人年龄分别是:%3d%3d%3d%3d%3d",a,b,c,d,...

#19696092355# VHDL语言编的13人表决器程序 - ******
#符甘# library ieee;use ieee.std_logic-1164.allentity bj13 isport(a:in std_logic_vector(0 to 12);b::out std_logic_vector(0 to1)):end bj13;architecture one of bj13 isbeginprocess(a)begincase a iswhen "00000000000" =>b<="10"...when others=>mull;end case;end process;end one;13位代表表决的人,高电平有效,b(0)为高电平为反对,b(1)为高电频为支持也可用for语句.

#19696092355# (用c语言编写程序)有A、B、C、D、E 5人,每人额头上都贴了一张黑或白的纸. ******
#符甘# #include &lt;stdio.h&gt; #include &lt;stdlib.h&gt; int main(int argc, char *argv[])//可以写成int main(),没问题,个人习惯 { int a,b,c,d,e; for(a=0;a&lt;=1;++a)//资深的程序员跟倾向于写成for(a=0;a!=2;a++)因为对电脑来说判断!=比&lt;=更简单. ...

#19696092355# C语言怎么才能每输出5个数据转行 - ******
#符甘# 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23#include<stdio.h> intmain() {inta,b,i,j=0; //j要初始化printf("输入a,b a<b\n");scanf("%d %d",&a,&b); for(i=a;i<=b;i++){if( i%400==0 || (i%4==0 && i%100!=0) )//添加花括...

#19696092355# 请用C语言设计程序实现将1、2、3、4、5、6、7、8、9、10? ******
#符甘# 我这个是键盘输入然后求和十进制显示的 ;这是自动生成的代码模板 STACKS ... PART5: POP DX MOV AH,2 INT 21H LOOP PART5 MOV AX,4C00H ;退出程序 INT 21H ...

  • 三人表决器逻辑电路图 有一个人有否决权
  • 答:三人表决器逻辑电路图,有一个人有否决权:Y=AB+AC,A有优先权。如果有了其中一人投赞同票就可以单票通过那就是决定权,如果必须有其中一人投赞同票才可以通过那就是否决权。表决是2人及以上通过有效,但由于C有否决权...

  • 用VHDL语言设计一个七人表决器。
  • 答:library ieee;use ieee.std_logic_1164.all;entity qrbjq is port( a: in std_logic_vector(6 downto 0); --7个人 num: buffer integer range 0 to 7; --表决通过人数 co: out std_logic); --是否通过...

  • 用与非门设计一个三人表决器电路
  • 答:2 0 0 1 0 F= a'bc+ab'c+abc'+abc;3 0 1 0 0 = bc(a'+a)+ac(b+b')+ab(c+c');4 0 1 1 1 =bc+ac+ab;5 1 0 0 0 = [(bc)'(ac)'...

  • 如何使用集成译码器74LS138设计一个三人表决器???帮忙画出电路图...
  • 答:或门的输出作为加法器的进位输出。即完成了加法器的设计。回过头来分析:当加法器的输入分别为:a=1,b=0,ci=1时。对应3-8译码器的输入为A=1,B=0,C=1,这是译码器对应的输出为OUT(5)=1,其余的为0,根据上面设计...

  • 利用4选1数据选择器(74LS153)设计一个3人表决器电路
  • 答:153为双四选一数据选择器,最简单的方法是分两层实现。假设十六选一的选择线为A3A2A1A0. 低层排四个四选一数据选择器,每个的选择信号都接A1A0 高层用一个四选一数据选择器,选择信号用A3A2,数据输入信号将低层的四...

  • EDA用Quartus II制作 7人表决器
  • 答:可以给你提供思路。使用状态机。第一部分电路:首先产生S0到S7共8个状态,8个状态无条件循环,实际上就是一个3位计数器。对7个表决输入编号A1到A7。另外设置计数器C,S0状态下若A1=1,则C自加1,否则不变;S1状态下...

  • C语言中jieguo=0xff为什么是给jieguo置初值的意思?
  • 答:置初值,就是给变量一个初始值,没有规定必须给几,给什么值完全看程序员自己的需要。例如,int sum=0; 作累加器 计数 用的 通常 给 0。现在:unsigned char jieguo=0xff;0xff 是2进制 全1。 jieguo 的初值就是...

  • 计算机论文范文3000字
  • 答:例如在讲授主析取范式时,引入加法器、表决器的设计,并用multisim进行仿真演示,让学生理解数理逻辑在计算机硬件设计中...例如讲完数理逻辑内容后,布置作业: 编写 C语言程序,实现如下功能: 给定两个命题变元 P、Q,给它们赋予一定的真值...

  • c语言投票程序(000、001、010、100为不同意;011、101、110、111为同意...
  • 答:回答:# include"stdio.h"main(){ int a,b,c,s;printf("三人投票表决器,同意按1,不同意按0\n");scanf("%d,%d,%d",&a,&b,&c);s=a&&b||a&&c||b&&c;if (s==1)printf("表决通过\n");elseprintf("...

  • 用verilog hdl语言设计一个9人表决器,五个人通过
  • 答:input[8:0] vote;reg[3:0] sum;integer i;reg pass;always @(vote)begin sum=0;for(i=0;i<=8;i=i+1) //for 语句 if(vote[i]) sum=sum+1;if(sum>4'b0100) pass=1; //若超过 4 人赞成...

    为传递更多家电数码信息,若有事情请联系
    数码大全网